EDA课程设计,急!

一、 LED点阵汉字显示
要求:1、及格:在实验箱上16*16点阵模块上显示汉字“电”;
2、中:设置不同的清屏方式(上下左右);
3、良:滚动显示汉字“电”;
4、优:滚动显示“电子信息工程”。

三、电子密码锁
要求:1、及格:设计一个四位密码锁的控制电路,当输入正确代码时,输出开锁信号,用红灯亮、绿灯灭表示关锁,用绿灯亮、红灯灭表示开锁;
2、中:输入密码的同时显示输入的值;
3、良:可设定密码;
4、优:从第一个按钮触动后的10秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。

五、交通信号灯控制
要求:1、及格:设计一个交通信号灯控制器,在十字路口每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。每次放行30秒;
2、中:绿灯亮25秒后闪烁3秒,黄灯亮2秒,然后转红灯,红灯亮30秒后转绿灯,依次循环;
3、良:30秒倒计时显示;
4、优:可设定通行时间。

九、数字秒表
设计一个以0.01s为基准计时信号的实用数字式秒表
要求:1、及格:计时显示范围0~59min59.59s;
2、中:具有清零、启动计时、暂停计时及继续计时功能,操作按键(开关)不超过两个;
3、良:有倒计时功能;
4、具有记录最近10次计时操作结果的功能。
我想从这些个里面选一个题目。要求用VHDL编写,MAX PLUS2仿真的,最好能达到良以上的要求,邮箱wowcy2008@Yahoo.cn,如果采纳还会补分!明天就要交,急万分感谢!

第1个回答  2011-01-10
现在发不知道来得及不来得及

电子技术与EDA技术课程设计内容简介
本书为综合性课程设计教材,旨在培养高等院校应用型人才。内容涵盖六大章节:第一章,电子技术课程设计基础;第二章,模拟系统设计;第三章,数字电路设计;第四章,EDA技术课程设计;第五章,电子技术与EDA技术课程设计题库;第六章,综合性电子系统设计实例。各章节均包含实践应用实例,设计实例均经过验证...

eda课程设计定时器怎么提高时间精度
1、增加计数器位数:通过增加计数器的位数,可提高计数器的分辨率,从而提高定时器的时间精度。2、采用高频晶振:在定时器电路中采用高频晶振,可使计数器的计数速度更快,从而提高时间精度。3、优化时钟信号:时钟信号的稳定性和精度对于定时器的时间精度也有很大影响。4、采用硬件加速计数器:一些高端MCU...

EDA课程设计——数字电子钟
实验内容:设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校准时... 实验内容:设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能...

EDA课程设计:彩灯控制器
1、在设计时遇到一些主要问题如下:怎么将各个模块连在一起、开始硬件仿真时总是出现错误,设计方案的选择。最后我选择了元件例化将各个模块连在一起,仿真时是因为短路帽接错了,当时没有注意,在方案的选择时我们选了实现比较简单的分模块方案2、这次的EDA课程设计我学到得东西很多明白了理论与实践之间的差距,而且对DE...

EDA 课程设计 ——拔河游戏机?
一、总体设计思想 电子拔河游戏机是一种能容纳甲乙双方参赛游戏电路。由一排发光二极管表示拔河的“电子绳”。由甲乙双方通过按纽开关使发光二极管向一方的终点延伸,当延伸到某方的最后一个发光二极管时, 则该方获胜,连续比赛多局以定胜负。1.基本原理 本电路要求使用9个发光二极管,开机后只有中间一个...

EDA课程设计 16*16点阵 应该怎么做啊?会的来 谢谢
首先,从Proteus元件库中找到“MATRIX-8X8-RED”元器件,并将四块该元器件放入Proteus文档区编辑窗口中。此时需要注意,如果该元器件保持初始的位置(没有转动方向),我们要首先将其左转90°,使其水平放置,那么此时它的左面8个引脚是其行线,右边8个引脚是其列线(当然,如果你是将右转,则右边8个...

EDA课程设计——数字电子钟 设计一个电子时钟,要求可以显示时、分...
port( clk: in std_logic;--电路工作时的时钟信号 clk1: in std_logic;--闹铃产生需要的时钟信号 k: in std_logic;--高电平表示输入1 led: out std_logic;--输入正确时亮 led1: out std_logic;--输入错误时亮 reset: in std_logic;-- 按下时复位 want: in std_logic;--是否修改...

求助!!!EDA课程设计 用与非门设计的红绿灯控制
1.系统设计方案 2. VHDL程序设 交通灯控制模块jtdkz可利用状态机非常简洁的实现对主、支道指示灯的控制和有关单元电路的使能控制,其程序如下:library ieee;use ieee.std_logic_1164.all;entity jtdkz is port(clk,sm,sb:in std_logic;mr,my,mg,br,by,bg:out std_logic);end entity jtdkz;...

EDA课程设计:拔河游戏机。(对FPGA (现场可编程门阵列)芯片的设计)邮箱5...
这么简单,就是一个计数器,A组的按了是减,B组的按了是加,初始值设为4,当值变为1或者7的时候停止加减并保持,再加上显示和防抖就完了。

基于eda的实训心得_eda实训报告怎么写(2)
EDA课程设计心得体会,这次EDA课程设计历时两个星期,通过这次设计,通过这次课程设计使我懂得了理论与实际相结合是很重要的,在设计的过程中遇到问题,同时在设计的过程中发现了自己的不足之处,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,PLC实训心得,在学完PLC理论课程后我们做了课程设计,此次设计以分组的...

相似回答
大家正在搜