quartus||用 verilog语言编写 仿真正确可是程序下载后分频时钟无结果是什么原因?

如题所述

第1个回答  2012-01-07
可能原因:
1、时钟没有输入
2、时序不满足要求
3、管脚约束不对,信号无法输出。追问

引脚已经分配了,输入的时钟引脚也分配了,芯片也选型了,程序仿真也没有问题,就是下载后出不来。不知道怎么回事,麻烦帮帮忙!看是那块出现问题了!
“时序不满足要求”指的是什么?谢谢!

追答

你时钟频率是多少?你判断时钟分频结果的标准(或者依据)是什么?

追问

20M,分频完800多k,用示波器看下载初期是高电平,完成后变为低电平!谢谢

追答

检查一下reset信号有没有释放?
另外,“always @(posedge clk_in) begin ”需要改为:
“always @(posedge clk_in or negedge rst_n) begin ”
不然描述的硬件是错误的,与仿真结果不符也是正常的。

追问

谢谢,终于出来了!

追答

问题解决了就好,你把分给上面那位仁兄吧,他先回答你的。最主要的是他才是高人,以后多向他学习。

第2个回答  2012-01-06
你的问题太大!不把代码粘出来看看!谁知道对不对哦?设置引脚没有?都是问题哦!追问

always @(posedge clk_in) begin if (!rst_n) begin cnt1 <= 0; clk_out1_t <= 0;
end
else begin if (cnt1==4'b1100) begin cnt1<=4'b0000;
clk_out1_t<=~clk_out1_t; end
else begin cnt1 <= cnt1 + 4'b0001;
end
end
end
assign clk_out1 = clk_out1_t;
麻烦看一下帮看看是那块出问题了?谢谢!

追答

没有问题,仿真也是没问题的,可是如果你下载到板子上,我怀疑你这个同步复位26分频能否看得到!毕竟板子上的时钟最少也是10M,或者50M,你分出来让LED闪烁,你肉眼是无法分辨的。
module test_div(clk_out,rst_n,clk_in);
input clk_in,rst_n;
output clk_out;
reg clk_out1_t;
reg[3:0] cnt1;
always @(posedge clk_in)
begin
if (!rst_n) begin
cnt1 <= 4'd0;
clk_out1_t <= 0;
end
else begin
if (cnt1==4'd12) begin
cnt1<=4'd0;
clk_out1_t<=~clk_out1_t;
end
else cnt1 <= cnt1 + 1'b1;
end
end
assign clk_out = clk_out1_t;
endmodule
修改下分频系数试试

追问

我是用示波器显示的,下载刚开始是高电平,下载完就变成低电平了!会是那块的问题呢?谢谢

追答

显示的那个?

追问

分频后的输出波形

追答

分频,没问题,就看你示波器的设置了。也不必要较劲,呵呵!

追问

呵呵,谢谢,很是郁闷,我同门的可以出来,没问题,我的就是出不来,很是纳闷!示波器设置应该没问题,他的测试都好着呢,他用的是VHDL编写的,就这点区别!

追答

加上负跳变rst_n!再试试!来个异步复位。或者你复位时间维持长一点。

追问

谢谢!终于出来了!

本回答被提问者采纳

如何用Quartus II对用Verilog HDL语言编写的源码进行仿真
用鼠标选择所有管脚,拖动到WaveForm文件的波形显示框中,每个管脚的状态就都出来了,在你想要输入的管脚上设置高低电平,完毕后,点击 Start Simulation 按钮开始仿真,结束后输出管脚会显示结果。

...II对用Verilog HDL语言编写的源码进行仿真 ?
1.首先创建一个工程,再在new中新建添加verilog文本,再进行编译!2.编译成功后,到file——create\/update——create symbol Files for current 3.成功后到New——Block diagram\/Schematic File——在空白处点击鼠标右键——insert——symbol——选择project 文件夹下的子文件,点OK键——再在空白处点击...

用quartus ii检验一个verilog程序是否正确应该怎么做?看软件的哪些部分...
(1)把程序输到quatus当中,(2)先进行编译(compile),看有没有语法错误;(3)然后进行仿真,看有没有逻辑错误;(3)下载到fpga当中,最后看硬件能不能实现;给你推荐两本好书:一是夏宇闻那本书,理论讲的很好;二是周润景那本书,比较侧重于quartus2软件的使用;...

如何用Quartus II 仿真Verilog语言
1、软件是不自带仿真器的,要借用modelsim来仿真 2、HDL设计的一般步骤是:程序输入-编译-仿真-综合-适配-下载 3、仿真非常有用,它能检测所输入设计是否能达到所要设计的目标,即电路的逻辑功能是否正确,这也是电子设计自动化的优势,应该养成仿真的习惯。

用quartus2软件Verilog HDL语言怎么实现50Hz时钟脉冲信号
SystemVerilog forever #10ms clock = ~clock;http:\/\/www.fpga.com.cn\/HDL\/systemverilog\/1.htm

用quartus编写verilog程序 'define 总是出错什么问题
前面的符号用错了,它不是单引号,而是“ESC”按键下面的符号“`”,你再试试,应该没问题的 还有就是,如果定义宏变量,最好采用大写字母!

...vhdl语言编写的程序能用verilog编写测试文件进行测试吗
可以混用,一个工程的可综合部分随便怎么混用都可以,但是仿真的时候,不同的仿真工具对混合仿真的支持程度不同,并且混合仿真库编译的方法比较复杂

verilog的设计软件是什么啊?学习VERILOG语言要装什么软件啊?
你可以安装Modelsim仿真软件,它既可以实现写代码(语言编程),编译,又可以仿真,易学易用,是现在普遍使用的电子软件;还有Quartus II开发环境软件,无论是工作在Unix,还是linux工作站还是PC机上,它都可以使你轻松地进行实行设计,编译综合和器件下载。它是一个综合性平台,我还是建议你先学习Modelsim,它...

Verilog 什么时候需要使用initial语句?
初始化的时候= =(这不废话么)一般是用在程序模块最开始初始化数据用,对寄存器、输出等变量进行清零等工作。特别是在testbench中应用非常普遍,也是做初始化用的

quartus ii和modelsim有什么区别?
quartus ii是综合性PLD\/FPGA开发软件。modelsim:modelsim是HDL语言仿真软件。二、特点不同:1、quartus ii:支持包括原理图、VHDL、VerilogHDL以及AHDL等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。2、modelsim:提供友好的仿真环境,是业界唯一的单内核...

相似回答