电子密码锁程序电路图

如题所述

第1个回答  2012-04-26
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity lock is
port(clk_1k:in std_logic; --1024HZ频率输入管脚
enter_2,enter_1: in std_logic; --设密码确认及输入密码确认
datain:in std_logic_vector(3 downto 0); --密码数据输入
speaker:out std_logic; --喇叭
led_g,led_r:out std_logic); --绿灯及红灯
end entity lock;

architecture behave of lock is
signal ram:std_logic_vector(3 downto 0);
signal judge:std_logic;
signal clk:std_logic;
signal turn_on,turn_off:std_logic;
begin
process(Clk_1k)
variable clk_count:std_logic_vector(8 downto 0):="000000000";
begin
if clk_1k'event and clk_1k='1' then --分频
if clk_count<511 then
clk_count:=clk_count+1;
else
clk_count:="000000000";
clk<=not clk;
end if;
end if;
end process;

process(datain,enter_1) --设置密码
begin
if enter_1'event and enter_1='1' then
ram<=datain;
end if;
end process;

process(clk_1k) --判断第一位密码按下时开始计时
begin
if clk_1k'event and clk_1k='1' then
if enter_1='0' then
judge<='0';
end if;
if judge='0' and enter_1='1' then
if datain/=ram then judge<='1';end if;
end if;
end if;
end process;

process(judge,clk,enter_2)
variable count:std_logic_vector(4 downto 0):="00000"; --判断自第一个按键开始的时间是否超30秒
variable judge_sh:std_logic_vector(1 downto 0):="00"; --判断输入错误密码次数
variable switch:std_logic:='0'; --判断是否锁定键盘
begin
if clk'event and clk='1' then
if enter_1='0' then --数据复位
count:="00000";judge_sh:="00";switch:='0';
end if;
if switch='0' then
if judge='1' then
if count<"11110" then --30 秒计时
count:=count+1;
if enter_2='1' then
if datain = ram then
turn_on<='1';turn_off<='0';switch:='1'; --开锁
else
if judge_sh<"10" then
judge_sh:=judge_sh+1;turn_on<='1';turn_off<='1';switch:='0'; -
else
turn_on<='0';turn_off<='1';switch:='1'; -- 3次 end if;
end if;
else
turn_on<='0';turn_off<='0';switch:='0'; --等待下次输入
end if;
else
turn_on<='0';turn_off<='1';switch:='1';
end if;
end if;
end if;
end if;
end process;

process(clk,clk_1k,turn_on)
variable count:std_logic_vector(3 downto 0):="0000"; --长报警时间
variable count_sh:std_logic_vector(1 downto 0):="00"; --短报警时间
variable temp,temp_sh:std_logic:='0'; --判断是短报警或长报警
begin
if clk'event and clk='1' then
if enter_1='0' then --数据复位
count:="0000";count_sh:="00";temp:='0';temp_sh:='0';
end if;
if turn_on='0' and turn_off='1' then
if count<"0101" then --响5秒
count:=count+1;
temp:='1';
led_g<='0';led_r<='1';
else
temp:='0';
end if;
elsif turn_on='1' and turn_off='0' then
led_g<='1';led_r<='0';
elsif turn_on='1' and turn_off='1' then
temp_sh:='1'; --响1秒
elsif turn_on='0' and turn_off='0' then
led_g<='0';led_r<='1';temp_sh:='0';
else null;
end if;
end if;
speaker<=(temp or temp_sh) and clk_1k; --驱动喇叭
end process;
end behave;
第2个回答  2011-11-08
深圳元坤锁业——最具“性价比”的酒店锁、电子锁、桑拿衣柜锁等,欢迎全国采购、代理!!!
第3个回答  2011-11-23
bai du sa
第4个回答  2011-11-07
留下扣扣号给你发去。追问

316346104

电子密码锁是怎么打开的
这种新颖的数字电子密码锁, 由密码按钮键、预置密码锁存器、密码比较识别电路、开锁电路及报警电路等组成。如图1 所示,AN 1~AN 8 组成8 位数字密码键, 用于预置密码和开锁密码的编码。AN 10~AN 12是误码键。AN 9 是开锁键。AN S 是预置密码锁存键, 装于电路内部, 预置密码锁存时才使用。整机...

电子密码锁电路图
程序 \/\/晶振11.0592MHz,T1每250微秒中断,按键P1.0-P1.7,发光二极管接P3.0-P3.3,p3.4 \/*变量的定义:show_val[6]: 显示的值 init_val[6]: 密码初始值 key_val: 返回按键的值 255-表示无按键按下 key_index: 当前按键是哪一位密码 T1_cnt: 定时器计数溢出数 cnt_v...

急!!!我们需要设计一个数字密码锁,如何让它通电自动清零啊???_百度知...
第1节 电子密码锁硬件设计2.1 系统的硬件构成及功能根据总体要求分析,该密码锁电路所需要的I\/O口线少于15个,所以可选择质优价廉的AT89C2051,而且不需要外接程序存储器和数据存储器及其它扩展部件。电子密码锁由电路和机械两部分组成,此次设计的电子密码锁可以完成密码的修改、设定及非法入侵报警、驱动外围电路等功能。

9位数字密码锁电路图如下:密码为302706249 请问详细原理是什么?_百度...
接通电源后,电源经R5、C2形成清零脉冲输入IC1的R端,使计数器清零,Q0输出高电平。该电路预置密码为302706249,共9位。按照密码的顺序依次按下密码输入按键:先按下SB3,由图可知,SB3是和IC1的Q0端相连,由于Q0为高电平,这一高电平通过SB3加至晶体管VT的基极,使VT导通,其集电极电压下降。当松...

求问电子密码锁的具体详细工作原理!!!
1. 基本原理及硬件组成 智能密码锁系统由智能监控器和电子锁具两大部分组成,二者异地放置。智能监控器为电子锁具供电,并接收其发送的报警信息和状态信息。通过线路复用技术,电能供给和信息传输共用一根二芯电缆,从而增强了系统的可靠性和安全性。1.1 智能监控器的基本原理及组成框图 智能监控器由单片机...

电子密码锁让你的安全更有保障
电子密码锁的用法是通过输入的密码来控制其开关的闭合,如果如果密码输入正确,其设备里面的控制电路和芯片将会响应进行开关,一般密码锁安装在住宅的大门上。电子密码锁效果图二、电子密码锁的设计电子密码锁设计需要运用到电子学和计算机等相关学科的专业知识,在装置内部装上一个已经编译好的程序,对整个...

电子密码锁电路原理图,求解答
这不是密码锁电路。不过,作为密码锁电路的电源电路是可以的。工作原理是:T1,降压,把220V高压隔离并降到约10V的低压。D1,整流,把约10V的交流变成脉动直流。C5、C6、滤波。把脉动直流变成平滑直流。此时的直流电压略高于10V。7805, 把上述的直流降为稳定的5V。C7、C8,对5V直流滤波 R3,给D2...

哪位大侠有电子密码锁的设计报告的详细资料,麻烦传个给我
电子密码锁 【摘要】本文的电子密码锁利用数字逻辑电路,实现对门的电子控制,并且有各种附加电路保证电路能够安全工作,具有极高的安全系数。【关键词】电子密码锁、电压比较器、555单稳态电路、计数器、JK触发器、UPS电源。一、引言 随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,...

电子密码锁:保障人身安全的关键
6、自动上锁功能:采用全自动锁芯,门关后5秒内自动上锁,外出更加安全。7、入侵感应功能:在门上锁的状态下,有人破锁而入时,会发出强力的报警音。8、火灾报警功能:在室内如果温度达到75°左右,将会发出强力的报警音,同时锁会自动开启。电子密码锁效果图9、密码可变:用户可以经常更改密码,防止...

急急急急需:数字电子密码锁的设计
又可以用密码键盘输入,输出有指示,有输入一个绿灯亮,输错报警有输出一个红灯亮,只要接线正确就可以正常使用,不过IC是3V供电的,见附图。参考资料:资料来自www.productvip.com

相似回答