急求EDA数字钟设计程序,我有原理图

如题所述

第1个回答  2011-11-24
功 能: 数码管显示的电子钟
;------------------------------------------------------------------------------
ORG 0000H
AJMP START

ORG 000BH
AJMP TIME

ORG 0100H
START: MOV 30H, #00H ;半秒标志
MOV 31H, #00H ;状态标志,0FFH表示设置状态
MOV 32H, #00H ;闪烁显示控制,位为0对应的数码管
;在调时状态下时闪烁
MOV 20H, #00H ;1/20秒计数
MOV 21H, #00H ;秒计数
MOV 22H, #00H ;分计数
MOV 23H, #00H ;时计数

MOV IP, #02H ;IP,IE初始化
MOV IE, #82H
MOV TMOD, #01H ;设定定时器工作方式
MOV TL0, #0B0H ;定时器初值
MOV TH0, #3CH
SETB TR0 ;启动定时器0
MOV SP, #40H ;重设堆栈指针

MAIN: LCALL DISP ;调用显示子程序
LCALL KEYPR ;调用按键处理子程序
SJMP MAIN ;循环

;定时器0中断处理程序
TIME: PUSH ACC ;保护现场
PUSH PSW
MOV TL0, #0B4H ;赋定时初值
MOV TH0, #03CH
INC 20H ;1/20秒计数器加1
MOV A, 20H
CJNE A, #10, IRET ;未到半秒,返回
MOV 20H, #00H
MOV A, 30H ;修改半秒标志
CPL A
MOV 30H, A
JZ IRET
MOV A, 31H ;状态标志,为0FFH停止计时
JNZ IRET
MOV 20H, #00H ;一秒钟时间到
MOV A, 21H ;秒加1
INC A
MOV 21H, A
CJNE A, #60, IRET
MOV 21H, #00H ;一分钟时间到
MOV A, 22H ;分加1
INC A
MOV 22H, A
CJNE A, #60, IRET
MOV 22H, #00H ;一小时时间到
MOV A, 23H ;小时加1
INC A
MOV 23H, A
CJNE A, #24, IRET
MOV 23H, #00H ;24小时到,小时清零
IRET: POP PSW ;恢复现场
POP ACC
RETI ;中断返回

;显示子程序 ;显示缓冲区2AH - 2FH
DISP: MOV A, 21H ;处理秒 21H-->2FH,2EH
MOV B, #10
DIV AB
MOV 2FH, B
MOV 2EH, A
MOV A, 22H ;处理分钟22H-->2CH,2DH
MOV B, #10
DIV AB
MOV 2DH, B
MOV 2CH, A
MOV A, 23H ;处理小时23H-->2AH,2BH
MOV B, #10
DIV AB
MOV 2BH, B
MOV 2AH, A

MOV DPTR, #DISPTAB ;段码表首地址
MOV R0, #2AH ;缓冲区首地址
MOV R6, #20H ;数码管位选择
DISP1: MOV A, @R0
MOVC A, @A+DPTR
MOV P2, #00H
MOV B, A
MOV A, 30H ;半秒标志
JNZ VIS1 ;半秒标志不为0,处理闪烁
MOV A, B
JMP VIS3 ;半秒标志为0,不处理闪烁
VIS1: MOV A, B
MOV B, A
MOV A, R6
ANL A, 32H
JNZ VIS2 ;当前位不闪烁
MOV A, #00H ;当前位闪烁
JMP VIS3
VIS2: MOV A, B
VIS3: MOV B, A
MOV A, R6
ANL A, #14H ;处理点的位置,点用来分隔时,分,秒
JNZ D1 ;显示点
MOV A, B
JMP D2 ;不显示点
D1: MOV A, B
ORL A, #80H ;显示点
D2: MOV P0, A
MOV P2, R6
CALL DELAY ;延时
DISP2: INC R0
MOV A, R6
RRC A
MOV R6, A
JNZ DISP1 ;R6不为0,继续显示
MOV P2, #00H
RET

;按键判断程序
KEYPR: SETB P3.5 ;检测S31
JB P3.5, EXITKEY
LCALL DISP
JB P3.5, EXITKEY
MOV 21H, #00H ;进入设定状态
MOV 31H, #0FFH
MOV 32H, #33H
KW1: LCALL DISP
JNB P3.5, KW1
SETMIN: LCALL DISP
SETB P3.5
JB P3.5, SETMIN1
LCALL DISP
JB P3.5, SETMIN1
KW2: LCALL DISP
JNB P3.5, KW2
JMP SETHR ;进入小时设定状态
SETMIN1:SETB P3.6 ;设定分钟
JB P3.6, SETMIN
LCALL DISP
JB P3.6, SETMIN
KW3: LCALL DISP
JNB P3.6, KW3
MOV A, 22H
INC A
CJNE A, #60, INCMIN
MOV A, #00H
INCMIN: MOV 22H, A
JMP SETMIN
SETHR: MOV 32H, #0FH
LCALL DISP
SETB P3.5
JB P3.5, SETHR1
LCALL DISP
JB P3.5, SETHR1
KW4: LCALL DISP
JNB P3.5, KW4
JMP EXITKEY ;退出设定状态
SETHR1: SETB P3.6 ;设定小时
JB P3.6, SETHR
LCALL DISP
JB P3.6, SETHR
KW5: LCALL DISP
JNB P3.6, KW5
MOV A, 23H
INC A
CJNE A, #24, INCHR
MOV A, #00H
INCHR: MOV 23H, A
JMP SETHR
EXITKEY:MOV 31H, #00H
MOV 32H, #3FH
RET

DELAY: MOV R7, #0FFH
DJNZ R7, $
RET

;共阴数码管显示代码,最低位对应段a
;0,1,2,3,4,5,6,7,8,9
DISPTAB:DB 3FH, 06H, 5BH, 4FH, 66H
DB 6DH, 7DH, 07H, 7FH, 6FH

END

EDA课程设计——数字电子钟
实验内容:设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校准时间,每逢整点,产生报时音报时。给出完整电路及写出AHDL源程序。用MAX+plus 软件画出电路图(包括顶层电路图和各模块电路图),把电路图的g...

EDA编程数字钟能进行正常的时、分、秒,小时计时要求为12进制,分和秒...
1.分秒六十进制电路模块count60的VHDL程序 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity wj7157_count60 is port(clk:in std_logic;bcd10,bcd1:buffer std_logic_vector(3 downto 0);present:in std_logic;co:out std_logic);end wj7157_count60;archite...

基于FPGA技术的数字时钟万年历设计
开发环境MAX—PLUSII,ZY11EDA13BE 试验系统, VHDL 语言.【设计原理】数字钟的主体是计数器,它记录并显示接收到的秒脉冲个数,其中秒和分为模60计数器,小时是模24计数器,分别产生3位BCD码。BCD码经译码,驱动后接数码管显示电路。秒模60计数器的进位作为分模60计数器的时钟,分模60计数器的进...

急求电子信息工程专科毕业论文题目
脉冲调宽(PWM)稳压电源作光源的设计与实现 压电式传感器的应用 矩形脉冲信号发生器的设计 可编程交通控制系统设计 多功能数字钟 实用电子称 多点温度检测系统 可编程微波炉控制器系统设计 智能型充电器显示的设计 电子显示屏

protel数字钟实验谁能发份给我,用七段显示数码管,74LS161的
将十六进制74LS161芯片构成(00——59)六十进制的分、秒计数器和(00——23)二十四进制的时计数器;并将“时”、“分”、“秒”计数器的输出状态进行七段显示译码器译码,由数码管显示出来。形成真正意义上可计时的数字钟。关键词:74LS161芯片,60进制转换,24进制转换,数码管....

eda多功能数字钟引脚怎么设置
·数字电子钟的电路设计 (字数:9242,页数:22 )·数字电子钟的设计与制作 (字数:8017,页数:22 )·数字钟的设计 (字数:6208,页数:21 )·基于8051单片机的数字钟 (字数:21638,页数:50)·基于单片机的电子时钟控制系统 (字数:7935,页数:42 )·数字电路数字钟设计 (字数:4846,页数:21 )·电子闹钟...

求:实时日历\/时钟的设计实现
秒、分)、一个12进制(时)的计数器。构成百分秒、秒、分、时的计数,实现计时的功能。三、驱动和数码显示电路 显示器件选用LED七段数码管。在译码显示电路输出的驱动下,显示出清晰、直观的数字符号。四、校时电路 针对数字钟会产生走时误差的现象,在电路中就设计有有校准时间功能的电路。

语音报时数字钟
如此难的问题,你这点分有人回答你简直就是奇迹!!

基于VHDL的数字时钟设计 用VHDL设计EDA数字钟 能显示年月日 时分秒 能...
template class TreeNode{ public:T data;int index;int active;TreeNode & operator=(TreeNode & treenode){ this->data=treenode.data;this->index=treenode.index;this->active=treenode.active;return *this;} };

那位大哥大姐能够不用单片机设计出电子闹钟的原理图啊!!要求一小时后...
方案三:采用迟滞比较器和一个RC反馈网络能获得方波输出。如图二所示。其中,前一个运放构成迟滞比较器,后一个运放与电容构成积分器是比较器的反馈回路的一部分,信号的输出频率为 方案比较:振荡器是数字电路的核心,振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,555定时器构成的多谐振荡器...

相似回答