VHDL语言设计交通灯

根据交通规则,红灯停,绿灯行,黄灯提醒,交通灯的亮灭规律为:初始状态时两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向开始通行,同时从15秒开始倒计时,倒计时到5秒时,东西路口绿灯开始闪烁,倒计时到1秒时东西绿灯灭,黄灯开始亮,倒计时0秒后,东西路口红灯亮,同时南北路口到绿灯亮,南北方向开始通行,同样从15秒开始倒计时,再切换到东西路口方向,以后周而复始重复上述过程
请把答案发到我邮箱里面wjldage@163.com 谢谢

第1个回答  2007-05-10
这个要自己做才有收获本回答被提问者采纳

怎么用VHDL语言编写十字路口交通灯?
最简单的方法是列出真值表,写出逻辑表达式,然后根据逻辑表达式来写出vhdl程序即可。一、VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述语言)。VHSIC是Very High Speed Integrated Circuit的缩写,是20世纪80年代在美国国防部的资助下始创的,并最终导致了VHDL语言的出现。二、VHDL主要用...

vhdl交通灯的设计
1.首先打开Quartus II软件,新建一个工程,并新建一个VHDL File。2.按照自己的想法,编写VHDL程序.3.对自己编写的VHDL程序进行编译并仿真。4.仿真无误后,根据附录一的引脚对照表,对实验中用到时钟、七段码显示及交通灯模块的LED对应的FPGA引脚进行管脚绑定,然后再重新编译一次。5.用下载电缆通过...

急!求解:j今天就要 用VHDL做交通信号灯
r2:out std_logic; --南北方向红灯状态 y2:out std_logic; --南北方向黄灯状态 g2:out std_logic --南北方向绿灯状态 );end;architecture control of light is signal Q1:integer range 0 to 20000;--用于M20000的计数器的计数 signal Q2:integer range 0 to 100;--用于交通灯的总时间...

求助!!!EDA课程设计 用与非门设计的红绿灯控制
1.系统设计方案 2. VHDL程序设 交通灯控制模块jtdkz可利用状态机非常简洁的实现对主、支道指示灯的控制和有关单元电路的使能控制,其程序如下:library ieee;use ieee.std_logic_1164.all;entity jtdkz is port(clk,sm,sb:in std_logic;mr,my,mg,br,by,bg:out std_logic);end entity jtdkz;...

交通灯控制那个。。。你怎么弄的?有vhdl程序没?
根据交通指示的要求,使用普通可编程控制器PLC写入程序,駏动数码管显示板即可。

dsp设计题目
LCD 上显示计数值,每秒改变计数显示。-正常交通控制信号顺序:正常交通灯信号自动变换 (1)南北方向绿灯,东西红灯(10 秒)。(2)南北方向绿灯闪烁。(3)南北方向黄灯。(4)南北方向红灯,东西方向黄灯。(5)东西方向绿灯(60秒)。(6)东西方向绿灯闪烁。(7)东西方向黄灯。(8)返回(1)循环控制。

VHDL交通灯仿真问题,Error: Can't continue timing simulation using fa...
这个不是程序的问题,应该是一些设置的问题,可以修改一些设置,不用时序仿真,用功能仿真,

推荐一个比较实用的流程设计、仿真软件
利用Verilog HDL语言自顶向下的设计方法设计交通灯控制系统,使其实现道路交通的正常运转,突出了其作为硬件描述语言的良好的可读性、可移植性和易理解等优点,并通过Xilinx ISE6.02和ModelSim 5.6完成综合、仿真。此程序通过下栽到FPGA芯片后,可应用于实际的交通灯控制系统中。9\/51【题名】交通信号灯系统的动态控制【...

求dsp设计交通
没学过dsp,但是毕设的时候老师分了这个题目给我,要求设计硬件并用汇编语言写程序,而且要求自己买器件,变态死了!!真的不会啊,求助...设计交通灯啦唉,不要给我复制dsp信息啊,我不需要... 没学过dsp,但是毕设的时候老师分了这个题目给我,要求设计硬件并用汇编语言写程序,而且要求自己买器件,变态死了!!真的不...

单片机课程设计:交通灯控制!
单片机课程设计:交通灯控制! 100 要求:东西南北四个方向,刚开始均为红灯,然后东西为绿灯,南北为红灯,时间为25秒,然后东西变为黄灯并闪烁5秒,一秒闪烁一次;接下再变为东西红灯,南北绿灯,如此反复!要有详细的... 要求:东西南北四个方向,刚开始均为红灯,然后东西为绿灯,南北为红灯,时间为25秒,然后东西变为黄...

相似回答