VHDL语言用BLOCK语句写一个二选一的数据选择器

如题所述

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;

ENTITY DATA IS
PORT(
a,b,c: IN STD_LOGIC;
c: OUT STD_LOGIC);
END DATA;
ARCHITECTURE Behave OF DATA IS
BEGIN
LABEL:BLOCK
VARRIBLE tmp1,tmp2,tmp3:STD_LOGIC;
BEGIN
tmp1:=a and b;
tmp2:=c and (not b);
tmp3:=tmp1 or tmp2;
y<=tmp3;
END BLOCK LABEL;

END Behave;
温馨提示:内容为网友见解,仅供参考
第1个回答  2005-12-26
我也来看看 到时候学习一下

VHDL语言用BLOCK语句写一个二选一的数据选择器
tmp2:=c and (not b);tmp3:=tmp1 or tmp2;y<=tmp3;END BLOCK LABEL;END Behave;

如何用VHDL编写双二选一数据选择器
end architect;数据类型按照要求自己定义

用VHDL语言设计二选一数据选择器,并用元件例化构成三选一数据选择器...
二选一选择器 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX21 IS PORT(A:IN STD_LOGIC;B:IN STD_LOGIC;S:IN STD_LOGIC;Y:OUT STD_LOGIC );END ENTITY MUX21;ARCHITECTURE MUX21A OF MUX21 IS BEGIN PROCESS(S,A,B) BEGIN IF S='0' THEN Y<=A;ELSE Y<=B;END IF;END ...

vhdl 请各位大虾 帮我改正一下这几个程序的错误
第二个名字写的很清楚,就是个锁存器;不对的原因应该跟上面的差不多。第三个我觉得挺奇怪的,wait语句我一般都是在testbench里才用,这一边名叫test,一边又写的像D触发器……我弄testbench的话都是直接用软件生成前面的一大截,原理是用component语句调用要仿真的模块。第四个是个二选一;我手...

如何用VHDL语言编写三选一数据选择器
二选一选择器 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX21 IS PORT(A:IN STD_LOGIC;B:IN STD_LOGIC;S:IN STD_LOGIC;Y:OUT STD_LOGIC );END ENTITY MUX21;ARCHITECTURE MUX21A OF MUX21 IS BEGIN PROCESS(S,A,B) BEGIN IF S='0' THEN Y<=A;ELSE Y<=B;END IF;END ...

VHDL设计四选一数据选择器有使能端 这个错在哪里??
if 只能用在进程语句、s没用到,en只是一位的,而你把它设置成两位 LIBRARYieee;USE ieee.std_logic_1164.all;ENTITY mux41 IS PORT ( en:IN std_logic;s:in std_logic_vector(1 downto 0);x1, x2, x3, x4:IN std_logic;y:OUT std_ logic );END mux41;ARCHITECTURE ...

用VHDL程序设计一个8选1数据选择器
PORT(sel:IN Std_logic_Vector(2 DOWNTO 0);In_s:IN Std_logic_Vector(7 DOWNTO 0);y:OUT Std_logic);END Mux8;ARCHITECTURE behave OF Mux8 IS BEGIN PROCESS(sel,In_s)BEGIN CASE sel IS WHEN "111" => y <= In_s(7);WHEN "011" => y <= In_s(6);WHEN "101"...

...1数据选择器的VHDL描述,要求是用4选1的数据选择器构成,谢谢了_百度...
b when s=1 else c when s=2 else d;end;16选1数据选择器 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux161 is port(ain,bin,cin,din,ein,fin,gin,hin,iin,jin,kin,lin,min,nin,oin,pin:in std_logic;s1,s2:in std_logic_vector(1 down...

八选一数据选择器用VHDL设计方法。
architecture art of mux8_1 is begin process(kd,input)begin if kd="000" then output<=input(0);elsif kd="001" then output<=input(1);elsif kd="010" then output<=input(2);elsif kd="011" then output<=input(3);elsif kd="100" then output<=input(4);elsif kd="101" ...

用VHDL设计8路16位二进制八选一数据选择器!急...
samuelcxq已经给了你代码,但其中的clk信号不是必需的,因为数据选择器是一个组合逻辑电路,可以不用时钟信号的边沿来同步。

相似回答
大家正在搜