Error (10219): Verilog HDL Continuous Assignment error at fifo_top.v(9): object "process_en" on left-hand side of assignment must have a net type
我用qusrturs 进行verilog语言编写一个顶层文件时候老是出现上面这个问题,请问一下如何解决??具体程序段如下
module fifo_top(wr,data,clk,q,ready,process_en);
input wr,clk;
input[7:0] data;
output ready,process_en;
output[7:0] q;
reg ready,process_en;
wire rd1,f1,e1,w1,co1;
assign rd1=ready;
assign process_en=~rd1;
assign w1=wr&process_en;
counter2 counter2(
.clk(clk),
.co(co1)
);
fifoo1 fifoo1(
.WE(w1),
.RE(rd1),
.WCLOCK(clk),
.RCLOCK(co),
.DATA(data),
.Q(q),
.FULL(f1),
.EMPTY(e1),
.AFULL(),
.AEMPTY()
);
df df(
.clr(e1),
.d(),
.clk(f1),
.q(rd1)
);
endmodule
用verilog语言下总是出现这个问题……
assign process_en=~rd1;这个你定义了process_en是 reg类型,assign 的左边只能用wire类型,不能用reg类型
Verilog语言仿真,代码编译都是正确的,为什么输出没波形?出现这种情况...
1、没有加激励 2、仿真时间不够长 3、没有把相关信号拉出来。
我的问题是用Verilog HDL语言写的程序,能编译过去,就是在生成symbol元件...
我的 我的问题是用Verilog HDL语言写的程序,能编译过去,就是在生成symbol元件是出现错误。 Error(10703):SystemVerilogerroratstep_motor.v(308):can'tresolveaggregateexpressioninconnectiontoport2oninstance"fun2"becausetheinstancehasnomodulebinding。... Error (10703): SystemVerilog error at step_motor.v(30...
Verilog中有总提示赋值问题,求大神解!
你写的程序有几点明显的错误:1、变量f的数据类型定义,assign语句中 左边变量必须是wire型,你这里定义成reg型。2、if语句不能单独出现在module里面,只能在always块里面或与其并行的块中。 本回答由提问者推荐 举报| 答案纠错 | 评论(1) 0 4 swary 采纳率:66% 来自:芝麻团 擅长: 操作系统\/系统故障 硬件 ...
verilog hdl程序中出现错误,在哪里能看到错误原因?
在编译完成后,一般会在程序的下半部分出现编译的结果,如果有错误或者警告会以红色字体标注,可以使用鼠标点击错误,对应错误的部分会在程序中高亮显示。这种方式与C语言的编写是一样的。如答主这里使用的是Quartus,出现错误会出现如下提示:望采纳!
verilog语言报错,xx is not a constant?
这个是用c语言写电路。那个for里面的i需要定义为genvar i;另外从你写的逻辑看,你是希望那个shift_reg不断的变化,但是你这么写的话。系统会认为是一个组合逻辑,所以会立刻计算出结果。你需要写成时续逻辑的电路,用always @(posedge clk)begin ...end ...
NC-verilog中遇到这个问题,ncverilog: *E,SIMERR: Error during Simula...
你可执行久一点, 看看是否会有结果出来, 有可能是license被别人抓走了...
...写verilog代码,在编译的时候,老出现下面两个问题:
你在新建时选择的是VHDL语言,而你写的是Verilog语言,所以出错。你重新建一个就行了
verilog 中顶层文件引用其他模块出现错误,怎么修改?
可以将reg [7:0] hou_n,min_n,sec_n,hou_a,min_a;中的sec_n改为wire[7:0]sec_n,其他变量也可能会出现这个问题,我没有细看,但是粗看一下有很多问题。这里给你指出一个,在count_60模块你应该是想定义60的计数器,但是其中8‘h59应该是表示16进制的59,换算成十进制的值应该是89,...
verilog语言问题
原因在于你定义的reg型变量NO以及time1和time2都在两个always块中进行了赋值,要知道reg型变量一般只能在一个always块内使用,在其它块中最多也只能读取数值或者进行比较判断,不能再进行赋值,否则就会出现这个问题。time1的4位,加上time2的四位,再加上NO,就是那九个错误了 ...