用VHDL语言编程:编写一个8选1的多路开关,输入是8组8位二进制数

希望尽量精简

我试试,程序如下:

library IEEE;
use IEEE.std_logic_1164.all;
entity choose_8_1 is
port( a,b,c,d,e,f,g,h : in std_logic_vector( 7 downto 0 );
choose : in std_logic_vector( 2 downto 0 );
Z : out std_logic_vector( 7 downto 0 ) );
end choose_8_1;
architecture Medied of choose_8_1 is
begin
process(choose)
begin
case choose is
when "000" => Z<=a;
when "001" => Z<=b;
when "010" => Z<=c;
when "011" => Z<=d;
when "100" => Z<=e;
when "101" => Z<=f;
when "110" => Z<=g;
when others => Z<=h;
end case;
end process;
end Medied;

希望你以后自己多动手写,安装个Quartus II,自己学,进步很快的。
——Medied.Lee
温馨提示:内容为网友见解,仅供参考
无其他回答

国外经典教材系列·VHDL数字电子学目录
介绍二进制算术、2的补码表示法、十六进制算术、BCD算术和算术电路。章节还涵盖了四位全加器集成电路、使用整数算术的VHDL和加法器,以及系统设计应用。第8章 代码转换器、多路复用器和多路分配器 提供了比较器、译码器、编码器、代码转换器、多路复用器和多路分配器的详细描述。章节还探讨了VHDL语言实现...

急,用VHDL设计同步分接器的电路原理谁有啊?
鉴相器的功能是比较接收码元(code_in)与分频器输出的本地时钟信号(clk_out)的相位,若本地时钟超前于接收码元的相位,鉴相器向扣门电路输出一个超前脉冲,在16分频器输入端扣除一个高频窄脉冲,使分频器输出的时钟信号和相位滞后1\/16周期;若本地时钟滞后于接收码元的相频窄脉冲,使分频器的输出脉冲的相位提前1\/16...

世界上第一个FPGA芯片拆解XC2064
CLB(可配置逻辑块)是FPGA的关键组成部分,包含四个输入和两个输出。通过组合逻辑,每个CLB可以编程实现任何所需逻辑功能。LUT(查找表)包含逻辑功能的真值表,由8位内存和多路复用电路组成,允许实现任何3输入逻辑功能。互连是FPGA的另一关键部分,用于连接CLB和I\/O引脚。互连通过设置开关(对角线)实现...

如何实现CPLD计数功能的调试??
6位十进制的计数器对输入的脉冲计数,有加减计数控制和清零计数值控制,输出6位十进制计数值,每位都用4位BCD码表示,共有24根线。 根据动态扫描显示的需要,必须设计一个6位BCD选1的多路数据选择器,输出的一位BCD码(4根线)送给BCD-七段译码器译成段信号,从CPLD输出给数码管的7段。同时多路数据选择器的控制选通...

六位数码管时钟
1.基于labVIEW虚拟滤波器的设计与实现 2.双闭环直流调速系统设计 3.单片机脉搏测量仪 4.单片机控制的全自动洗衣机毕业设计论文 5.FPGA电梯控制的设计与实现 6.恒温箱单片机控制 7.基于单片机的数字电压表 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 10.110KV变电所一次系统设计 11....

求助:数据采集卡的一个特性该怎样理解?150KS\/s是单通道的还是16路一...
首先这款采集卡 不是同步采集卡 他的采样频率150KS\/S是指单通道最高能达到150KS\/S 但是在正常使用中是达不到这么高的采样频率的

相似回答