在用quartusII验证vhdl程序时发现的错误,是书上的例子.

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity adder is
port( in1: in std_logic;
in2: in std_logic;
cntl: bit;
pout: out bit_vector);
end adder;
architecture func of adder is
begin
process(cntl)
begin
if(cntl='1') then pout<=in1+in2;
end if;
end process;
end func;
提示错误:Error (10327): VHDL error at adder.vhd(16): can't determine definition of operator ""+"" -- found 0 possible definitions

第1个回答  2012-04-23
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity adder is
port( in1: in std_logic;
in2: in std_logic;
cntl: std_logic;
pout: out std_logic);
end adder;
architecture func of adder is
begin
process(cntl)
begin
if(cntl='1') then pout<=in1 and in2;
end if;
end process;
end func;
帮你改了下 应该可以用了
第2个回答  2012-04-22
in1+in2 逻辑量不能加 要写成and or 之类的本回答被提问者采纳

用quartus的VHDL编译之后显示Error: License file is not specified,什 ...
这个错误提示不是你的描述出现错误,而是你的Quartus II没有正确设置许可文件的路径,软件找不到许可文件License.dat。

VHDL设计的储存模块用QuartusII验证出现Error: Cannot synthesize i...
Quartus不支持对这种初始化方式的代码进行综合 可以用$readmemb或$readmemh完成ram的初始化(9.0以上版本支持这种方式的综合)例如 module ram_with_init(output reg [7:0] q,input [7:0] d,input [4:0] write_address, read_address,input we, clk );reg [7:0] mem [0:31];integer i;i...

VHDL编译错误
我在QuartusII 里编译了你的程序,报错:Error (10500): VHDL syntax error at p2r_CordicPipe.vhd.vhd(58) near text "compatibility"; expecting "begin", or a declaration statement “compatibility with Xilinx WebPack ”这一行,你用的Xilinx器件,是不是应该用 ModleSim (参考http:\/\/www...

quartusII 运行报错(1)Error (10500): VHDL syntax error at vga.vhd...
LIBRARY IEEE后面要加“;”,STD_LOGIC_UNSIGINED要小写,就OK了。希望对你有所帮助。

quartus II9.0 10500和10523错误解答
回答:大哥,VHDL语言的实体名不能用VHDL的敏感信号,SINGT是VHDL的敏感信号,建议你把实体名SINGT修改为其他的名字就正确了。问题的错误在这里,我现在没有安装这款软件,所以没有检验。你修改了试试,我想一定能够通过.你要表述的是10禁止的加法计数器,对不?另外结束结构体的时候要写上结构体的名称,即最后...

...的是quartus ii 9.0 写verilog代码,在编译的时候,老出现下面两个问题...
你在新建时选择的是VHDL语言,而你写的是Verilog语言,所以出错。你重新建一个就行了

quartus2中rom库生成出问题了 Error (10500): VHDL syntax error at r...
1、最后一行多了一个逗号吧,少了个endif 。总共9个if 对应的应该有9个endif 代码里只有8个数。2、CONSTANTrom_length:NATURAL:=256;SU**YPErom_wordISSTD_LOGIC_VECTOR(rom_width- 3、0010110","00001011","11110000","00000010","00000000","00010001",4、"11110000","00000110","...

用Quartus II 可以仿真编译通过的VHDL文件用modelsim编译通不过?_百度...
Quartus II能通过?没用过Quartus II。错误是因为你的case语句的问题,在case语句最后end case 前加上when others=>null;就行了或者把你的cnt1的定义改为cnt1:in bit_vector(1 downto 0)就行了。

quartusII中编译出现错误 object "signed" is used but not declared...
use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;将以上两个库加上去试试

quartus ii 原理图部分我是用的VHDL和原理图相结合编译也都成功了,就...
说实话,我也遇到过此类问题,当初是把已经建立的波形仿真文件删除(从工程里面剔除,若有多个,记得删干净),重新搞一个!波形仿真文件不是有扩展名吗,确定工程文件夹里面没有了那扩展名的文件为止!

相似回答