程序要求是:1)能显示十字路口东西、南北两个方向的红、黄、绿的指示状态;
用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯。
2)能实现正常的倒计时功能;
用两组数码管作为东西、南北方向的倒计时显示,显示时间为红灯35秒,绿灯50秒,黄灯5秒。
3)能实现特殊状态的功能。按s1键后,能实现特殊状态功能:
(1)显示倒计时的两组数码管闪烁;
(2)计数器停止计数并保持在原来的状态;
(3)东西、南北路口均显示红灯状态;
(4)特殊状态解除后能继续计数
4)能实现总体清零功能。
按下sb键后,系统实现总体清零,计数器由初状态计数,对应状态的指示灯亮。
5)用vhdl语言设计上述功能的交通灯控制器,并用层次化设计方法该电路。
6)完成电路全部设计后,通过系统实验箱下载验证设计课题的正确性。
~~我没有悬赏分。。。希望哪位乐于助人的朋友帮一下忙哈
vhdl交通灯的设计
1.首先打开Quartus II软件,新建一个工程,并新建一个VHDL File。2.按照自己的想法,编写VHDL程序.3.对自己编写的VHDL程序进行编译并仿真。4.仿真无误后,根据附录一的引脚对照表,对实验中用到时钟、七段码显示及交通灯模块的LED对应的FPGA引脚进行管脚绑定,然后再重新编译一次。5.用下载电缆通过...
怎么用VHDL语言编写十字路口交通灯?
最简单的方法是列出真值表,写出逻辑表达式,然后根据逻辑表达式来写出vhdl程序即可。一、VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述语言)。VHSIC是Very High Speed Integrated Circuit的缩写,是20世纪80年代在美国国防部的资助下始创的,并最终导致了VHDL语言的出现。二、VHDL主要用...
交通信号灯设计方案 有吗???
2.设计要求:1.设交通灯信号控制器用于主干道与支干道公路的交叉路口,要求是优先保证主干道的畅通。因此,平时处于"主干道绿灯,支道红灯"状态,只有在支道有车辆要穿行主干道时,才将交通灯切向"主干道红灯,支道绿灯",一旦支道无车辆通过路口,交通灯又回到"主干道绿灯,支道红灯"状态。2.主干...
求助!!!EDA课程设计 用与非门设计的红绿灯控制
1.系统设计方案 2. VHDL程序设 交通灯控制模块jtdkz可利用状态机非常简洁的实现对主、支道指示灯的控制和有关单元电路的使能控制,其程序如下:library ieee;use ieee.std_logic_1164.all;entity jtdkz is port(clk,sm,sb:in std_logic;mr,my,mg,br,by,bg:out std_logic);end entity jtdkz;...
交通灯控制那个。。。你怎么弄的?有vhdl程序没?
根据交通指示的要求,使用普通可编程控制器PLC写入程序,駏动数码管显示板即可。
急!EDA交通灯控制器,求大侠解析一下程序!我读不懂。源代码如下(貌似程 ...
粗略看了一下,程序实现交通灯功能:当出现紧急情况,都亮红灯;count<200000,南北绿,东西红,同时显示倒计时 20000<count<25000,南北黄,东西红,同时显示倒计时 25000<count<50000,南北红,东西绿,同时。。。50000<count<56000,南北红,东西黄,同时。。。至于你说的问题,我没有见到现象,不好...
电子信息工程毕业论文
856. 基于VHDL的智能交通控制系统 857. 基于VHDL语言的数字密码锁控制电路的设计 858. 基于单片机的超声波测距系统的设计 859. 基于单片机的八路抢答器设计 860. 基于单片机的安全报警器 861. 基于SPCE061A的易燃易爆气体监测仪设计 862. 基于CPLD的LCD显示设计 863. 基于单片机的电话远程控制家用电器系统设计 864....
单片机课程设计:交通灯控制!
5.FPGA电梯控制的设计与实现 6.恒温箱单片机控制 7.基于单片机的数字电压表 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 10.110KV变电所一次系统设计 11.报警门铃设计论文 12.51单片机交通灯控制 13.单片机温度控制系统 14.CDMA通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16....
求dsp设计交通
对于FPGA实现来说,DSP综合是一项将DSP验证与最佳DSP实现链接在一起的关键创新。借助嵌入在Synplify DSP工具中的能力,设计者可以采用一种自动式且独立于器件的方法来检查实现过程的折衷并完成目标映射。将DSP综合与Simulink联合使用,可将系统架构师与硬件设计师的专长整合到一个公共环境中。系统架构师可以为Simulink创建一...
推荐一个比较实用的流程设计、仿真软件
【关键词】FPGA EDA VHDL 交通信号灯 控制系统【分类号】U491.51【文摘】本文介绍一种适合高校电子信息专业的EDA软件MAX+PLUSⅡ,阐述使用MAX+PLUSⅡ软件设计交通信号灯自动定时控制系统的过程.本设计在GW48-CK实验箱上进行了下载,验证了整个设计的正确性.6\/51【题名】交通信号灯PLC控制【作者】殷兴光【机构】陕西...