VHDL语言,谁能帮我解读下

entity binbcd14 is
Port ( b : in STD_LOGIC_VECTOR (13 downto 0);
p : out STD_LOGIC_VECTOR (16 downto 0));
end binbcd14;

architecture Behavioral of binbcd14 is

begin
process(b)
variable z : std_logic_vector(32 downto 0);
begin
for i in 0 to 32 loop
z(i):='0';
end loop;
z(16 downto 3) := b;

for j in 0 to 10 loop
if(z(17 downto 14)>"0100") then
z(17 downto 14):= z(17 downto 14) + "0011";
end if;
if(z(21 downto 18)>"0100") then
z(21 downto 18):= z(21 downto 18) + "0011";
end if;
if(z(25 downto 22)>"0100") then
z(25 downto 22):= z(25 downto 22) + "0011";
end if;
if(z(29 downto 26)>"0100") then
z(29 downto 26):= z(29 downto 26) + "0011";
end if;
z(32 downto 1):=z(31 downto 0);
end loop;
p<=z(30 downto 14);
end process;

end Behavioral;

entity binbcd14 is --定义实体名
Port ( b : in STD_LOGIC_VECTOR (13 downto 0);--定义输入端口14位
p : out STD_LOGIC_VECTOR (16 downto 0));--定义输出端口17位
end binbcd14;

architecture Behavioral of binbcd14 is

begin
process(b) --进程语句
variable z : std_logic_vector(32 downto 0); 定义变量33位
begin
for i in 0 to 32 loop --给变量赋初值,其实不用这么麻烦,直接
z(i):='0'; z:=(others=>'0');这句的结果就相当于你
end loop; for语句的功能
z(16 downto 3) := b; --z的第16到第3位赋值b

for j in 0 to 10 loop --不知道你这句for是要干什么的,就是要循环
加十一次0011吧
if(z(17 downto 14)>"0100") then
z(17 downto 14):= z(17 downto 14) + "0011";
end if;
if(z(21 downto 18)>"0100") then
z(21 downto 18):= z(21 downto 18) + "0011";
end if;
if(z(25 downto 22)>"0100") then
z(25 downto 22):= z(25 downto 22) + "0011";
end if;
if(z(29 downto 26)>"0100") then
z(29 downto 26):= z(29 downto 26) + "0011";
end if;
z(32 downto 1):=z(31 downto 0);--相当于右移一位
end loop;
p<=z(30 downto 14);--最后赋于p输出
end process;

end Behavioral;
温馨提示:内容为网友见解,仅供参考
无其他回答

急求!!!vhdl语言解释,越详细越好,我是一点看不懂。高手进。高悬赏...
VHDL 语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。 (3) VHDL 语言具有很强的移植能力 VHDL 语言很强的移植能力主要体现在: 对于同一个硬件电路的 VHDL 语言描述 , 它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合...

我想学习VHDL语言,不知有谁能给我解答我的几个疑惑
VHDL可以描述一个单片机的功能,但即使学习了VHDL,也还是需要学习单片机的。因为VHDL是用于设计硬件系统的,而单片机只是一个硬件系统的实例,不了解单片机就无法设计它。VHDL用于设计硬件系统,与软件关系不太大。如果你设计的硬件系统中有MPU,需要运行软件的话,你还是需要学习软件设计的。学习VHDL除了看点...

VHDL语言,谁能帮我解读下
entity binbcd14 is --定义实体名 Port ( b : in STD_LOGIC_VECTOR (13 downto 0);--定义输入端口14位 p : out STD_LOGIC_VECTOR (16 downto 0));--定义输出端口17位 end binbcd14;architecture Behavioral of binbcd14 is begin process(b) --进程语句 variable z : std_log...

谁能介绍一下"硬件描述语言VHDL"?
硬件描述语言为适应新的情况,迅速发展,出现了很多新的硬件描述语言,像Superlog、SystemC、Cynlib C++等等。究竟选择哪种语言进行设计,整个业界正在进行激烈的讨论。因此,完全有必要在这方面作一些比较研究,为EDA设计做一些有意义的工作,也为发展我们未来的芯片设计技术打好基础 参考资料:http:\/\/www....

VHDL语言的语法要素详解:数据操作和运算符如何使用?
1.1 VHDL语言概述 1.1.1 历史渊源:VHDL语言诞生于(产生历史),旨在为硬件描述提供标准化的编程语言,提高了设计的效率和一致性。1.1.2 设计优势:VHDL的优势在于其(主要优势),包括模块化设计、清晰的描述和易于维护性。1.1.3 设计流程:使用VHDL,设计过程通常包括概念设计、结构设计和行为描述等...

vhdl语言是什么
回答:所以 VHDL 就是非常高速积体电路的硬体描述语言。这是一项原由美国国防部 ( DoD, Department of Defense) 所支持的研究计画。为了将电子电路的设计意涵以文件方式保存下来,以便其它人能轻易地了解电路的设计意义。这就是VHDL的由来。 在1985年,美国国防部取得委托研究的第一版语言。随后,VHDL...

vhdl语言是什么
VHDL,全称为VHSIC Hardware Description Language,即非常高速集成电路硬件描述语言。它源于美国国防部支持的VHSIC项目,旨在通过文件形式记录电子电路的设计意图,方便他人理解和复用。1985年,首版VHDL语言诞生,随后由美国国防部转移给IEEE,并在1987年成为IEEE1076标准。1988年,英国国防部规定VHDL为官方ASIC...

vhdl语言(关于vhdl语言的基本详情介绍)
VHDL,全称为Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。此语言于1987年底,被IEEE和美国国防部确认为标准硬件描述语言。随着IEEE公布了VHDL的标准版本,即IEEE-1076(简称87版),各EDA公司开始推出自己的VHDL设计环境。或者,它们宣布自己的设计工具可以与VHDL接口。自...

vhdl语言是什么
VHDL 就是 VHSIC Hardware Description Language 的缩写,而 VHSIC 就是 Very High Speed Integrated Circuit 的缩写,其意义就是非常高速积体电路。所以 VHDL 就是非常高速积体电路的硬体描述语言。这是一项原由美国国防部 ( DoD, Department of Defense) 所支持的研究计画。为了将电子电路的设计意涵以...

VHDL语言特点
VHDL作为标准化的硬件描述语言,其广泛应用得益于诸多独特优势。首先,VHDL功能强大,设计手段灵活多样。它能通过简洁明了的程序描述复杂电路,支持同步、异步和随机电路设计,设计方法涵盖了自顶向下、自底向上、模块化和层次化等策略。其次,VHDL具有强大的硬件描述能力,能从系统级到门级全面覆盖。它支持...

相似回答
大家正在搜