--the control of AD7674
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY ad7674 IS
PORT ( clk,busy ,reset: IN STD_LOGIC;
db : IN STD_LOGIC_VECTOR(17 DOWNTO 0);
cs,rd,convst: OUT STD_LOGIC;
q:OUT STD_LOGIC_VECTOR(17 DOWNTO 0));
END ad7674;
ARCHITECTURE behv OF ad7674 IS
TYPE m_state IS (s0,s1,s2,s3);
SIGNAL present_state, next_state: m_state;
BEGIN
REG1: PROCESS (clk,reset) --时序进程1
BEGIN
IF reset='1' THEN
q<=(OTHERS=>'0');
convst<='1';
ELSIF clk='1' AND clk'EVENT THEN
present_state <= next_state;
END IF;
END PROCESS REG1;
COM:PROCESS(present_state, busy) --组合进程
BEGIN
CASE present_state IS
WHEN s0=>
convst<='1';
next_state<=s1;
WHEN s1 =>
convst<='0';
next_state<=s2;
WHEN s2 =>
convst<='1';
IF busy = '1' THEN
next_state <= s2;
ELSE
next_state <= s3;
END IF;
WHEN s3 =>
convst<='1';
q<=db;
next_state <= s0;
END case;
END PROCESS;
END behv;
急求!!!vhdl语言解释,越详细越好,我是一点看不懂。高手进。高悬赏!
VHDL 语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。 (3) VHDL 语言具有很强的移植能力 VHDL 语言很强的移植能力主要体现在: 对于同一个硬件电路的 VHDL 语言描述 , 它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合...
悬赏关于VHDL里clk’event的问题,请高手解答,满意追加赏金,谢谢!
可能你没有学习过数字电路,在语言编译实际生产的数字电路中,如果是电平触发的编译出来的是触发器,如果是边沿触发编译出来的是寄存器,触发器有很多坏处,比方说不稳定,对毛刺敏感,容易形成锁存电路等等。如果不好理解的话可以举个例子看下;例如 a<=b;b<=c;1、如果是边沿触发,那么a的值永远比b...
【急】答对必有重谢!有关于用VHDL语言对HDB3码译码器的程序的解释
end rtl;结束rtl 你主要看中间的那些if嵌套语句。主要就是选择性的赋值输出。满足某个条件就执行相应的赋值语句
如何用vhdl语言设计5进制减法计数器,我没悬赏分了。。。
很简单的,计数器进程部分程序给你,其他的分频的,显示的部分你能行的 signal cq: intger ranger 0 to 5 process(clk)begin if lck' event and clk='1' then if cq=0 then cq<=5 else cq<=cq-1;end if;end if;end process;