用VHDL语言编程(乒乓球比赛游戏机设计)

要求:两人乒乓游戏机是用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,用点亮的发光二极管按一定方向移动来表示球的运动,在游戏机的两侧各设置发球和击球开关,甲乙双方按乒乓球比赛规则来操作开关。当甲方按动发球开关时,靠近甲方的第一个发光二极管亮,然后发光二极管由甲方向乙方依次点亮,代表乒乓球的移动。当球过网后按照设计者的规定的球位乙方就可以击球。若乙方提前击球或者未击到球,则甲方得分。然后重新发球进行比赛,直到一方积分达到11分为止,积分清零,重新开始新一轮比赛。
设计思路:状态机设置了7个状态,分别是“等待发球状态”,“第一盏灯亮状态”,“第八盏灯亮状态,“球向乙移动状态”,“允许甲击球状态”,“球向甲移动状态”,“允许乙击球状态”。开始时为“等待发球状态”,若甲发球则状态转移到第一盏灯亮状态,若乙发球则转移到第八盏等亮状态。

你这个要放在“电脑/网络”这一块,那边懂电脑的多 这边的都是体育爱好者, 电脑专业知识估计懂的不多
温馨提示:内容为网友见解,仅供参考
第1个回答  2008-06-29
这边人都不懂额!!
你应该放在 电脑/网络 编程 这一板块的```
我们这边是解答兵乓球拍子啊 什么的问题.
对于这个,不好意思LZ
我们爱莫能助...

求一份关于FPGA游戏机设计(最好是乒乓球)的英文文献!好的话!会追加财富...
曹莉凌刘雨青 【摘要】:FPGA的低成本正推动其在消费电子产品中的应用,为进一步挖掘其在娱乐产品应用中的巨大商机,基于Altera公司FPGA,在QuartusⅡ8.1环境下,运用VHDL语言、采用有限状态机等设计方法设计了一款乒乓球比赛游戏机。介绍了该游戏机系统功能模块划分及关键模块具体设计方案,给出设计和调试中遇到...

数字电路课程设计 乒乓球游戏机
1.设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。2.用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。调 3.当“球”(点亮的那只LED)运动到某方的最后一位时...

什么是FPGA里面的乒乓机制?
乒乓机制就是有套块数据接收路径,一块数据接收时,算法处理另一块数据,而不用等待当前数据接收完后再处理,作用是提高数据吞吐量。

基于FPGA的乒乓球游戏设计 FPGA程序
作为一种可编程器件,FPGA与传统的数字电路和门电路相比,它采用逻辑单元阵列的模式,内部包含有可配置逻辑模块、输出输入模块和内部连线三个部分。通过硬件描述语言(如VHDL语言)完成的电路设计,可以通过综合与布局,快速烧录至FPGA芯片上进行测试。SOPC(SystemOnProgrammableChip),可编程片上系统。它是用可...

课程设计心得体会
实践平台的运用,Quartus Ⅱ软件操作,VHDL语言设计,均为我今后学习提供有力支持。理论结合实践,一步一脚印,掌握专业技能,为未来工作打下基础。EDA实训,乒乓球游戏机设计,Verilog HDL语言,CPLD技术,每一步严谨波形仿真,确保功能正常。设计中存在不足,改进空间,为我未来学习与工作奠基。通过实训,...

VHDL语言编程用什么编译软件比较好
quartus这个软件还不错,我学习就用这个,要是能配个db2板就不错了。

有懂VHDL硬件描述语言的吗?用这个语言编程的?
USE IEEE.std_logic_unsigned.ALL ;entity CH41A is --选择开关 port ( sel: in std_logic_vector(2 downto 0);d0,d1,d2,d3,d4,d5: in std_logic_vector(7 downto 0);q: out std_logic_vector( 7 downto 0));end CH41A;architecture CH41_arc of CH41A...

FPGA开发中的VHDL语言与Verilog HDL语言那个好学?各有什么优缺点?_百 ...
我的理解:如果你学过C,那么Verilog HDL语言更容易上手,它们很相似的,如果没有那这两种语言都差不多,我一直用VHDL做项目,这种语言结构严谨,基本编译通过就能生成电路,适合做大型的设计,而这些特点正是Verilog HDL语言所欠缺的,再说语言只是一个工具,入门都比较容易,关键是你的逻辑思维能力,如何用语言去实现一些算法...

现在设计数字电路都用可编程逻辑电路了嘛
当需要很多数字逻辑门是可以用FPGA或CPLD,比较少是可以用一些数字逻辑芯片设计;FPGA和CPLD需要用VHDL或HDL语言编程,VHDL语言感觉不是很难。

用VHDL语言编程怎么实现两个按钮控制两个灯,就是按下按钮1,LED1亮。按...
用D触发器+一个反向器,按钮1按下后输入上升沿到触发器的触发端,触发器D端接高电平。触发器的\/Q端接LED负极,LED正极接电源。

相似回答