vHdl程序分析 (5 DOWNTO 0); 表示什么

ARCHITECTURE Behavioral OF FIFO IS
TYPE fifo_array IS ARRAY(0 TO 4095) OF STD_LOGIC_VECTOR(9 DOWNTO 0);
SIGNAL fifo_memory : fifo_array;
SIGNAL full_flag : STD_LOGIC;
SIGNAL empty_flag : STD_LOGIC;
SIGNAL read_addr : STD_LOGIC_VECTOR(5 DOWNTO 0);
SIGNAL write_addr : STD_LOGIC_VECTOR(5 DOWNTO 0);
SIGNAL counter : STD_LOGIC_VECTOR(5 DOWNTO 0);

第1个回答  2013-05-30
这个是申明6位的一个 STD_LOGIC_VECTOR,本回答被提问者采纳

vHdl程序分析 (5 DOWNTO 0); 表示什么
这个是申明6位的一个 STD_LOGIC_VECTOR,

帮忙分析下vHdl程序中(5 DOWNTO 0); 表示什么 怎么来的
SIGNAL write_addr : STD_LOGIC_VECTOR(5 DOWNTO 0);声明了一组信号,信号名称write_addr,信号类型STD_LOGIC_VECTOR,这个信号的类型是个std_logic数组,它的下标变化范围是(5 DOWNTO 0),也就是说,有6位std_logic类型构成的数组。说的白一些,就是信号(写地址)write_addr有6根地址线,...

VHDL程序中逻辑左移和右移问题
accin定义的输入类型出现错误,sll的操作数必须是BIN或布尔型一维数组。

谁帮我分析下这个VHDL代码高分!
` output : out std_logic_vector(7 downto 0) --解码输出`` );end adsr;`这是ADSR包络发生器的接口部分,定义了输入输出信号以及它们的类型。注意`output`被声明为`std_logic_vector(7 downto 0)`,这意味着输出可能是8位,但是只有高8位被使用,低8位被忽略。2. 架构描述:`archite...

谁帮我分析下这个VHDL代码高分!
signal step_divider : std_logic_vector(5 downto 0);--触发状态机的计数器,每计数2的6次方触发一次状态机,也就是状态机的最高跳转速度是输入时钟的1\/64 signal step_pulse : std_logic;--触发状态机的信号,每64个周期产生一个脉冲 signal phase_counter : std_logic_vector(7 downto...

VHDL并置语句
例如:SIGNAL a, b:std_logic;SIGNAL c: std_logic_vector (1 DOWNTO 0);SIGNAL d, e: std_logic_vector (3 DOWNTO 0);SIGNAL f: std_logic_vector (5 DOWNTO 0);SIGNAL g: std_logic_vector (7 DOWN TO 0);c<=a & b; 两个位连接 f <= a & d; 位和一个位矢量连接 ...

用VHDL语言设计FPGA程序时什么时候用std_logic和std_logic_vector两种数 ...
这个只要你稍加注意即可很好区分。当你的信号为1bit时 用std_logic,比如: clk:in std_logic 当你的信号为>1bit时 用std_logic,比如:qout: out std_logic_vector(7 downto 0);表示qout信号为 8bit 希望能帮到你

VHDL 程序 注释
SIGNAL reg2:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL cin1:STD_LOGIC;BEGIN PROCESS(clk)BEGIN IF clk'EVENT AND clk='1' THEN adda1<='0'&a(3 DOWNTO 0); ---adda1是a的低4位 addb1<='0'&b(3 DOWNTO 0);---addb1是b的低4位 adda2<='0'&a(7 DOWNTO 4);---adda2是a...

vhdl 实现时钟整点报时功能
源程序 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dongtaism isport(clk:in std_logic; s:in std_logic_vector(7 downto 0); f:in std_logic_vector(7 downto 0); m:in std_logic_vector(7 downto 0); selout:out std_logic_vector(5 downto 0); ...

VHDL 程序解释,识别器,分频器等,帮我程序后注释下,高手帮帮忙~急...
判决器的VHDL源程序为:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity panjueqi is port(a : in std_logic_vector(2 downto 0);b :in std_logic_vector(2 downto 0);c :buffer std_logic --定义的是数据buffer );end panjueqi;architecture bh of ...

相似回答