请问在VHDL中用几为计算器可以将5MHz的频率准确分到1Hz

如题所述

第1个回答  2008-05-12
log2(5M)=22.25
23 位
第2个回答  2008-05-24
你可以先分一个1kHz 然后在将他分到1Hz

请问在VHDL中用几为计算器可以将5MHz的频率准确分到1Hz
你可以先分一个1kHz 然后在将他分到1Hz

VHDL语言。。如何实现50MHz分频为1Hz?
严格来说是从0-49999999,刚好50000000个计数值,正好将50M的时钟分为1Hz的时钟

求用VHDL语言设计一个5MHZ到1Hz的分频器
use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div is generic(n:integer :=50000000);port (clk:in std_logic;q:out std_logic);end div;architecture behave of div is signal count :integer range n-1 downto 0:=n-1;begin process(clk)begin if (clk'event a...

各位大神,请问用VHDL写一个频率计,clk为1Hz 怎么来的,这个信号从什么地 ...
至于怎么得到1HZ的频率,可以将一个50MHZ的CLK进行分频 即,创建一个PROCESS,用一个计数器对50M的CLK进行计数,等记到50M的时候,OUT进行电位切换,就得到1HZ的频率了。

VHDL 如何将1MHZ分频为1HZ
我说个一般点的思路,通过计数分频,主时钟每来个上升沿计数一次,累计到524288将输出电平反转一次,并重新计数,如此反复就能得出1赫兹的频率。当然也可以用时钟使能的方法,计数到1048576输出一个使能信号。

用VHDL编写一个分频器,实现输出1MHz-1Hz之间的任意频率
频率要多少就用N_diviseur除!LIBRARY IEEE;USE IEEE.Std_Logic_1164.ALL;ENTITY div IS GENERIC( n_diviseur : INTEGER := 2 );PORT ( clk : IN Std_Logic;clock : OUT Std_Logic);END ENTITY;ARCHITECTURE beha OF div IS BEGIN PROCESS (clk)VARIABLE compteur : INTEGER RANGE 0 ...

如何用VHDL实现分频?
一般设计中用到计数器时,我们可以调用lpm库中的计数器模块,也可以采用VHDL语言自己设计一个模N计数器。本设计采用VHDL语言设计一个最大模值为16的计数器。输入端口为:使能信号en,复位信号clr和时钟信号clk;输出端口为:qa、qb、qc、qd。其VHDL语言描述略。带使能控制的异或门的实现 输入端为:xor_...

基于VHDL语言的多功能数字钟设计
由于石英晶体振荡器产生的频率很高,要得到秒脉冲,需要用分频电路。例如,振荡器输出4MHz信号,通过D触发器(74LS74)进行4分频变成1MHz,然后送到10分频计数器(74LS90,该计数器可以用8421码制,也可以用5421码制),经过6次10分频而获得1Hz方波信号作为秒脉冲信号。(见图3) 图3 分频电路 3、图中标志的含义 CP——...

EDA课程设计,用VHDL编程做出租车计费器
end if; --得1hz频率信号end if;end process; end rt1;2. 计量模块计量模块主要完成计时和计程功能。计时部分:计算乘客的等待累积时间,当等待时间大于2min时,本模块中en1使能信号变为1;当clk1每来一个上升沿,计时器就自增1,计时器的量程为59min,满量程后自动归零。计程部分:计算乘客所行驶的公里数,当行驶...

EDA编程数字钟能进行正常的时、分、秒,小时计时要求为12进制,分和秒...
1.分秒六十进制电路模块count60的VHDL程序 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity wj7157_count60 is port(clk:in std_logic;bcd10,bcd1:buffer std_logic_vector(3 downto 0);present:in std_logic;co:out std_logic);end wj7157_count60;archite...

相似回答
大家正在搜