&在VHDL语言中是什么意思,具体怎么用呢?谢谢

process(clk1)
begin
if clk1'event and clk1 = '1' then
datacom <= fskcodein & datacom(1);
end if;
end process;
希望能解释下这段程序,谢谢

楼主,您好!
我刚刚查了下VHDL的资料。&是算术运算符中:并置运算符。主要用于将操作数或者是数组连接起来构成新的数组。
应用举例:
'a' & 'b' & 'c'的结果是"abcd"他们的连接对象长度要一致!
解释下:
重点 if clk1'event and clk1 = '1' then
datacom <=fskcodein & datacom(1);
不知道你的具体定义,大概描述下,假如clk1'event and clk1 得出的结果是真,那么把fskcodein和datacom(1)连接起来形成一个新的数据赋值给datacom.连接规则看上面!
谢谢!楼主!
温馨提示:内容为网友见解,仅供参考
第1个回答  2011-08-23
并值符号:如果clk1上升沿到了,datacom的类型应该是std_logic_vector,假设是2位的。那么就是std_logic_vector(1 downto 0)。fskcodein应该是bit类型,那么就是把fskcodein的数值和datacom的高位组成一个新的2位的datacom。
假如datacom:out std_logic_vector(1 downto 0)
datacom<="01" 高位是0
fskcodein: out bit;
fskcodein<='0' 是0
那么新的datacom是00本回答被提问者和网友采纳
第2个回答  2011-08-23
楼上说的是C、C#、C++等计算机编程语言的用法,不是VHDL语言中的用法。

& 是VHDL中的连接操作符:

signal Z_BUS:bit_vector(3 downto 0);
signal A,B,C,D:bit;
signal BYTE:bit_vector(7 downto 0);
signal A_BUS:bit_vector(3 downto 0);
signal B_BUS:bit_vector(3 downto 0);

Z_BUS <= A & B & C & D;
BYTE <= A_BUS & B_BUS.
第3个回答  2011-08-24
&的用法看楼上的解释
这个程序作用很简单:
当clk1的上升沿来的时候,讲fskcodein和datacom的1位合并赋给datacom
估计这里datacom是个std_logic_vector(1 downto 0)类型的,实现的就是吧fskcodein的数据移位输入

&在VHDL语言中是什么意思,具体怎么用呢?谢谢
我刚刚查了下VHDL的资料。&是算术运算符中:并置运算符。主要用于将操作数或者是数组连接起来构成新的数组。应用举例:'a' & 'b' & 'c'的结果是"abcd"他们的连接对象长度要一致!解释下:重点 if clk1'event and clk1 = '1' then datacom <=fskcodein & datacom(1);不知道你的具体...

VHDL &是什么意思
&的意思就是连接,比如两个一位的信号a,b通过c<=“a&b”就合成为一个2位的信号c

&是什么意思在vhdl中?怎么用?
那么C就是一个7BIT的信号啦!是由A拼在高3BIT,B拼在低4BIT构成的。 本回答由网友推荐 举报| 答案纠错 | 评论(2) 23 1 flamingwave 采纳率:56% 来自:芝麻团 擅长: 电脑\/网络 娱乐休闲 文化\/艺术 游戏 医疗健康 为您推荐: soc是什么意思啊 pld是什么意思 FBGA是什么 VHDL程序的基本结构 vhdl综...

什么是VHDL语言,有什么用?
VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视...

在Verilog HDL设计中用什么表示异或
^~:表示同或。Verilog一般全称指Verilog HDL,是用于数字逻辑设计硬件描述语言HDL的一种,普遍认为另一种是VHDL。Verilog可以进行数字逻辑的仿真验证、时序分析、逻辑综合,具有描述电路连接、电路功能、在不同抽象级上描述电路、描述电路的时序,表达并行系等功能,是目前应用最广泛的一种硬件描述语言。

vhdl语言是什么
VHDL,全称为VHSIC Hardware Description Language,即非常高速集成电路硬件描述语言。它源于美国国防部支持的VHSIC项目,旨在通过文件形式记录电子电路的设计意图,方便他人理解和复用。1985年,首版VHDL语言诞生,随后由美国国防部转移给IEEE,并在1987年成为IEEE1076标准。1988年,英国国防部规定VHDL为官方ASIC...

VHDL的作用是什么?
VHDL语言是一种用于电路设计的高级语言,主要用于描述数字系统的结构,行为,功能和接口。它的应用主要是应用在数字电路的设计中。在FPGA\/CPLD\/EPLD\/ASIC的设计中,如定义芯片引脚功能.

VHDL语言 是什么东东?
VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视...

VHDL语言的概念与在实验中的应用?
VHDL语言利用寄存器特有的应用功能,如管理过程控制、跟踪运行状态等,通过数据传输的效果达到远程控制的设计效果。控制元件、远程操作等功能设计是基于定时器的设计思路。语言融入到功能模块中。当信号输入时,可以重新配置定时器的各种信息,将原来的信号传输格式转换成标准格式信号到达远程控制目标的目的。VHDL...

vhdl语言是什么
所以 VHDL 就是非常高速积体电路的硬体描述语言。这是一项原由美国国防部 ( DoD, Department of Defense) 所支持的研究计画。为了将电子电路的设计意涵以文件方式保存下来,以便其它人能轻易地了解电路的设计意义。这就是VHDL的由来。在1985年,美国国防部取得委托研究的第一版语言。随后,VHDL语言就转移...

相似回答