VHDL:序列发生器实现,输出序列暂时选为"111010101 ",你可以根据需要给定输出序列,原代码如下(仿真结果见图)
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity sequencer is --11101010--
port(clk : in std_logic;
rst : in std_logic;
seq : out std_logic);
end sequencer;
architecture arch of sequencer is
type state_type is (S0,S1,S2,S3,S4,S5,S6,S7);
signal state : state_type;
begin
P1 : process(clk,rst)
begin
if rst='0' then
state <= S0;
elsif clk'event and clk = '1' then
if state <= S0 then
state <= S1;
elsif state <= S1 then
state <= S2;
elsif state <= S2 then
state <= S3;
elsif state <= S3 then
state <= S4;
elsif state <= S4 then
state <= S5;
elsif state <= S5 then
state <= S6;
elsif state <= S6 then
state <= S7;
elsif state <= S7 then
state <= S0;
else
state <= S0;
end if;
end if;
end process P1;
P2 : process(clk,rst) --11101010--
begin
if rst='0' then
seq <= '0';
elsif clk'event and clk='1' then
case state is
when S0=>
seq <= '1';
when S1=>
seq <= '1';
when S2=>
seq <= '1';
when S3=>
seq <= '0';
when S4=>
seq <= '1';
when S5=>
seq <= '0';
when S6=>
seq <= '1';
when S7=>
seq <= '0';
when others=>NULL;
end case;
end if;
end process P2;
end arch;
追问谢谢,很有帮助~
追答那就采纳吧!:)
用vhdl 语言设计 序列信号发生器
'0'when"001",'1'when"010",'1'when"011",'0'when"100",'1'when"101",'0'when"110",'1'when"111",'0'when others ;
哪里的高手能给我个dds信号发生器VHDL语言的程序啊~~
很简单,先用C产生mif文件,然后导入到quartusII里面,调用里面的宏功能模块,不用定代码就能搞定,当然具体的方法也不是两三句能说得明白的,你可在上面的文库里面搜dds,人家有论文你可参考!有问题咱们可交流一下哦 dnvp@163.com
设计一个同步22进制计数器,用VHDL语言,帮帮忙吧兄弟们!!!
说明:以使用74161设计一个模为12的加法计数器为例,电原理图如图1所示.其中引脚的安排:en为使能端;clear为清零端;clk为时钟;q0\\q1\\q2\\q3为信号碈JFD2004 标题:图1传统8421码十进制递增计数器电路实现 F ig.1 C ircu it rea lization of dec im a l up-coun ter encoded by 8421BCD 图2...
跪求:《数字频率计的设计》 原理,方框图,电路图!
数字频率计的原理框图如图1所示。他主要由5个模块组成,分别是:脉冲发生器电路、测频控制信号发生器电路、计数模块电路、锁存器、译码驱动电路。�当系统正常工作时,脉冲发生器提供的1 Hz的输入信号,经过测频控制信号发生器进行信号的变换,产生计数信号,被测信号通过信号整形电路产生同频率的...
有懂VHDL硬件描述语言的吗?用这个语言编程的?
entity CH41A is --选择开关 port ( sel: in std_logic_vector(2 downto 0);d0,d1,d2,d3,d4,d5: in std_logic_vector(7 downto 0);q: out std_logic_vector( 7 downto 0));end CH41A;architecture CH41_arc of CH41A is begin process(SEL)begin case ...
指数函数衰减的信号发生器的电路,要详细的电路图!
1系统设计方案 本文提出的采用DDS作为信号发生核心器件的全数控函数信号发生器设计方案,根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国A/D公司的AD9850芯片,并通过单片机程序控制和处理AD9850的32位频率控制字,再经放大后加至以数字电位器为核心的数字衰减网络,...
用VHDL设计一个倒计时计数器,lcd实时显示秒表计时值,时钟信号50mHz,同步...
初始计时25s 通过ta tb可以对倒计时进行设置0~99s qa qb输出到显示 后面接个译码器就可以显示 ldn是调节有效位 en为开始倒计时按键 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY JSQ IS PORT(CLR,LDN,EN,CLK: IN STD_LOGIC;TA,TB,KK: IN BI...
求4位可自动转换量程的频率计EPM7128S可用的VHDL程序
这0个信号可以由一个测频控制信号发生器产生,即图7-1中的TESTCTL,它的设计要求是,TESTCTL的计数使能信号CNT_EN能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的ENA使能端进行同步控制。当CNT_EN高电平时,允许计数;低电平时停止计数,并保持其所计的脉冲数。在停止计数期间,首先...
多功能信号发生器设计原理
本设计中采用LATT ICE 公司的44 脚ispLSI1016VE 器件, 他采用PLCC 封装, 内有1000 个PLDGates, 擦写次数超过10 000 次, 是一种不需要特殊编程装置、使用极为方便的逻辑器件。 通过VHDL 语言编程实现了地址锁存器、译码器及其他组合逻辑。由于 信号发生 器需要时间信息, 选用了高性能的DS1302 时钟...
一文看懂VHDL和Verilog有何不同
在语句与子结构部分,两种语言都分为并行与顺序语句,并行语句在主程序中使用,顺序语句只能在子结构中使用。并行语句分为3种形式:函数、函数定义、过程、任务。附加结构包括library与package。通过典型程序对比,如8位4选1MUX、8位加法器、8位二进制加法计数器、序列信号发生器、预先设计模块,可直观了解...