如何用VHDL语言编程实现一个3-8线译码器

如题所述

第1个回答  推荐于2018-03-14
--======================================
-- Decoder
--======================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;

entity dec3_8 is
port (
din : in std_logic_vector(2 downto 0) ;
dout : out std_logic_vector(7 downto 0) ;
);
end dec3_8 ;

architecture RTL of dec3_8 is
begin
dout <= "10000000" when ( din = "111" ) else
"01000000" when ( din = "110" ) else
"00100000" when ( din = "101" ) else
"00010000" when ( din = "100" ) else
"00001000" when ( din = "011" ) else
"00000100" when ( din = "010" ) else
"00000010" when ( din = "001" ) else
"00000001" ;
end RTL ;本回答被提问者和网友采纳

verilog语言实现3-8译码器
其实3-8译码器的功能就是把输入的3位2进制数翻译成10进制的输出。        本次实验使用verilog语言实现3-8译码器的功能并实现WVF的功能性仿真。        在quartusII中创建一个波形图文件(WVF...

3-8译码器 VHDL编程
port(INT_ad:in std_logic_vector(2downto0);output:out std_logic_vector(7downto0);EN:in std_logic;D:in std_logic;)end entity sllre;architecture jh of sllre is signal sel:std_logic_vector(3downto0);begin process((INT_ad,EN);begin if EN ='1' then case(INT_ad) is ...

用IF语句编写3-8译码器 谢了
3-8译码器,用VHDL语言写的,感觉用case 语句比IF 更易表达 1ibrary ieee ;use ieee.std-logic-1164.all;entity eda i s port(a,b,c:in std-logic;d :out std-logic-vector (7 downto 0)) ;end eda;architecture behaviour of eda is begin case ...

VHDL 语言的38译码器和4选一数据选择器的CASE语句和IF语句的程序怎么编...
啥变量,要求都没有,我就随便写个格式吧 CASE 好像这样 CASE d IS WHEN”000”=>q<=”0111111”;WHEN”001”=>q<=”0000110”;WHEN”010”=>q<=”1011011”;...WHEN OTHERS=>q<=”0000000”END CASE;IF 好像这样 IF D='000' THEN Q<='000';ELSE IF D='001' THEN Q<='001'EN...

跪求,用VHDL语言编写3-8译码器
也可能你现在已经在别的地方找到了答案,那就得恭喜你啦。可能是你问的问题有些专业了,没人会。或者别人没有遇到或者接触过你的问题,所以帮不了你。建议你去问题的相关论坛去求助,那里的人通常比较多,也比较热心,可能能快点帮你解决问题。祝你好运~!希望我的回答也能够帮到你!谢谢 ...

八段字符显示译码器的共阴和共阳是如何驱动的
中间一段为g,右下角的小数点为dp 比方显示4亮的时候,就要满足b,c,f,g被驱动,程序一般固定在芯片中,如74LS148芯片。下面是用VHDL语言编写的驱动程序:library ieee;use ieee.std_logic_1164.all;entity disp is port(d:in std_logic_vector(3 downto 0);q:out std_logic_vector(6 downto ...

怎么消除用VHDL语言实现的38译码器,在进行波形仿真是造成的延时_百度知 ...
将时序仿真模型改成功能仿真模型试试。

高分:用VHDL语言编写的一个整数分频器有点问题?
VHDL的任意整数且占空比为50%分频代码 说明如下:1.其中top file 为 division,其中的clk_com是比较的频率,用它来和分频后波形进行比较,便于观察,2.any_enve为任意偶数分频文件 3.any_odd为任意奇数分频文件 4.是一个用于2进制与8进制的译码器,我用它来显示在数码管上当前到底是多少分频 5.以下...

跪求:《数字频率计的设计》 原理,方框图,电路图!
摘 要:文中运用VHDL语言,采用Top To Down的方法,实现8位数字频率计,并利用Isp Expert集成开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,经实际电路测试,该系统系统性能可靠。关键词:EDA;VHDL;数字频率计;波形仿真;CPLD�1引言 VHDL(Very High Speed Integrated Circuit ...

2-4译码器的VHDL描述
1.端口是bit类型,无需声明IEEE库和IEEE.STD_LOGIC.1164程序包。2.2-4译码器不是优先级结构,应当用case语句描述。3.字符串文字应当使用双引号。4.信号赋值号是“<=”,而不是“:<=”。ENTITY decoder24 IS PORT(s1,s2:IN bit;m:OUT bit_vector(3 downto 0));END;ARCHITECTURE be OF ...

相似回答