VHDL分频器,为什么分频数是计数值的两倍?

如题所述

第1个回答  2014-11-03
你没有将具体描述传上来,只看一个仿真图,谁知道都是些什么信号?追问

你看那个计数值,是从251记到255,但是最后的FOUT(分频信号)确是10分频,不感觉有点不对吗?

251到255才5个数,怎么最后是10分频

这是对时钟信号进行分频,clk为时钟信号,D为并行预置数,FOUT为分频后的信号

追答

这个描述用了两个进程。第一个进程是个5分频电路(251计到255),第二个进程是个二分频电路(FULL的每个上升沿导致FOUT翻转一次),因此5*2=10分频。

追问

我对第二个进程不是特别理解,能再通俗地解释一下吗?另外你觉得我对分频哪个地方理解的不到位呢?

追答

第一个进程是五分频,你理解的没错。

第二个进程是二分频,你没有理解。
每来一个FULL的上升沿,CNT2翻转一次,那么,每来2个FULL的上升沿,CNT2翻转2次才会有一个上升沿。也就是说CNT2是FULL的二分频,由于FOUT=CNT2,所以这个进程是一个二分频电路。

本回答被提问者和网友采纳

用vhdl设计一个分频器,分频系数为2的16次方
做一个16-bit的计数器,需要分频的信号作为时钟。每一个时钟上升沿,计数器加1. 计数器的最高比特bit-15,就是原需要分频的信号频率的2^-16

高分:用VHDL语言编写的一个整数分频器有点问题?
这样不行的,这样不能奇数分频。(奇数分频是要先倍频再分频的,比如3分频的话是要先2倍频再6分频。那样的话,新的时钟的脉冲沿不是在原时钟的触发沿处,而是在电平中变化的。)再说,你前面的IF语句也有错误,是产生歧义了。下面我给你个任意分频程序吧!VHDL的任意整数且占空比为50%分频代码 说明...

求用VHDL设计一个分频器
1、分频器实质上就是一个计数器,48MHz分到0.2Hz实际上就是设计一个计数器,使得每次计录48*2.5*10^6个脉冲后将一个信号翻转(也就是2.5秒高电平,2.5秒低电平);2、具体思路就是设计一个能记录48*2.5*10^6各脉冲的计数器,再设计一个比较器(使之能够对记录脉冲及常数48*2.5*10^...

vhdl语言做分频器,1000000hz变成1hz的
就是把1MHz分频成1hz,两种方法,一种是用fpga自带的锁相环或者时钟管理器,直接设置输出成1hz就行了。另外一种方法就是用hdl实现,包括vhdl和verilog。分频算法如下:计数器开始计数,寄到500000,输出高电平或者低电平;再从500000计数到1000000,输出电平反向。如此反复即可输出1hz时钟信号。友情提醒:虽...

VHDL 分频器 为什么要调用计数器呢??
首先:分频,就是将频率缩小;比如之前的频率是10Hz(时钟周期为0.1),那2分频后就是5Hz(时钟周期为0.2)好,那我问你,如果时钟频率是10Hz,1秒钟内有多少个时钟呢(就是clk cycle是怎样的呢);显然画出的波形就是1秒钟内有10个clock,那要怎么体现在代码里面呢?-->计数器 用计数器就是...

如何用VHDL实现分频?
其VHDL语言略。2分频(触发器)的实现 输入端为:时钟信号clk,输入信号d;输出端为:q:输出信号a,q1:输出信号a反。其VHDL语言略。4.分频器的实现 本设计采用层次化的设计方法,首先设计实现分频器电路中各组成电路元件,然后通过元件例化的方法,调用各元件,实现整个分频器。其VHDL语言略。

用VHDL编写一个分频器,实现输出1MHz-1Hz之间的任意频率
clk 输入一个相对较大的频率,频率要多少就用N_diviseur除!LIBRARY IEEE;USE IEEE.Std_Logic_1164.ALL;ENTITY div IS GENERIC( n_diviseur : INTEGER := 2 );PORT ( clk : IN Std_Logic;clock : OUT Std_Logic);END ENTITY;ARCHITECTURE beha OF div IS BEGIN PROCESS (clk)VARIABLE ...

用VHDL语言描述一个分频器,将10MHZ分频成1KHZ,拜托高手帮忙!
这是一个将1MHZ的分频1HZ的,你看下程序,改下下面的参数就是你要的了 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin is port(clk:in std_logic;clock:out std_logic)j;end;architecture art of fenpin is signal count:integer range 0 to ...

计数器和分频器有何不同之处?
Answer:分频器的时钟脉冲CP一定是周期信号,则输出信号也是周期性,输出信号的周期是输入信号周期的M倍,反过来输出信号的频率是输入信号频率的M分之一。计数器的时钟脉冲CP不一定是周期信号,可以是随机脉冲,称为计数脉冲,则输出信号也不一定是周期性。计数器工作目的是纪录计数脉冲个数(递加或递减)以及产生溢出(进位或...

VHDL语言。。如何实现50MHz分频为1Hz?
arith.all;USE ieee.std_logic_unsigned.all;entity clk_div is port(clk : in std_logic;clk1:out std_logic );end clk_div;architecture mix of clk_div is signal count :integer range 0 to 49999999;严格来说是从0-49999999,刚好50000000个计数值,正好将50M的时钟分为1Hz的时钟 ...

相似回答